June 11, 2022

FPGA - Linux tools for Verilog and VHDL

But first -- what if you want to fool around with Verilog without using Xilinx tools like Vivado. On linux there are a number of opportunities.
These are all Fedora packages at this time. The tip was to get started, after doing "dnf install iverilog"
put this into "hello.v"
module main;

initial
    begin
    $display("Hello world");
    $finish;
    end

endmodule
Then:
iverilog hello.v -o hello
vvp hello
It all seemed to work just fine.
Feedback? Questions? Drop me a line!

Tom's Computer Info / tom@mmto.org