January 26, 2021

Xilinx Zynq - XC7Z010 - EMIO and the PL

My question is how to I connect to signals coming from the PS via the EMIO?

Here is an answer from the forum post below:

They are not fixed. You just get a port at your PS7 module which says gpo[N-1:0] etc. Then you need to add a port to the top level, attach it to a pin (in an XDC) and then add a line which does the connection ala assign foo=gpo[0]; etc. For inputs a similar assign is necessary.
The answer gets a bit snippy and tells the asker to read ug835 (the vivado tcl manual).

I see other comments that say GPIO_0_0(EMIO) refers to EMIO pins in their project. This is for an example project for the ZC702

So, is the EMIO actually routed to package pins? I thought it was just internally routed to the PL!
Feedback? Questions? Drop me a line!

Tom's Computer Info / tom@mmto.org